des (0, 201, 134) (0, i, 1) (0, "C_INP !1", 2) (1, "C_INP !1", 3) (1, i, 4) (2, i, 3) (2, i, 5) (3, i, 6) (4, i, 7) (4, "C_INP !1", 6) (4, i, 8) (5, i, 9) (6, i, 10) (6, i, 11) (6, i, 12) (7, "C_INP !1", 10) (7, i, 13) (7, i, 14) (8, i, 14) (8, "C_INP !1", 12) (9, i, 15) (10, i, 16) (10, i, 17) (11, i, 18) (11, i, 19) (12, i, 17) (12, i, 19) (13, "C_INP !1", 16) (13, i, 20) (14, "C_INP !1", 17) (14, i, 21) (15, i, 22) (15, i, 23) (16, i, 24) (16, i, 25) (17, i, 26) (18, i, 27) (18, i, 28) (19, i, 28) (20, "C_INP !1", 25) (20, i, 29) (21, "C_INP !1", 26) (21, i, 29) (22, i, 30) (22, i, 31) (23, i, 31) (24, i, 32) (24, i, 33) (25, i, 33) (25, i, 34) (26, i, 35) (26, i, 34) (27, i, 36) (27, i, 37) (28, i, 38) (29, "C_INP !1", 34) (29, i, 39) (30, i, 40) (30, i, 41) (31, i, 42) (32, i, 43) (32, i, 44) (33, i, 44) (33, i, 45) (34, i, 45) (34, i, 46) (35, i, 47) (35, i, 45) (36, i, 48) (36, i, 49) (37, i, 49) (37, i, 50) (38, i, 51) (38, i, 52) (39, "C_INP !1", 46) (39, "OUT_C !0", 53) (40, i, 54) (40, i, 55) (41, i, 55) (41, i, 56) (42, i, 57) (42, i, 58) (43, i, 59) (44, i, 60) (44, i, 61) (45, i, 61) (45, i, 62) (46, i, 62) (46, "OUT_C !0", 63) (47, i, 60) (47, i, 61) (48, i, 64) (49, i, 65) (49, i, 66) (50, i, 66) (50, i, 67) (51, i, 68) (51, i, 69) (52, i, 69) (52, i, 70) (53, "C_INP !1", 63) (54, i, 71) (55, i, 72) (55, i, 73) (56, i, 73) (56, i, 74) (57, i, 75) (57, i, 76) (58, i, 76) (58, i, 77) (59, i, 78) (60, i, 78) (61, i, 79) (61, i, 80) (62, i, 80) (62, "OUT_C !0", 81) (63, i, 81) (64, i, 82) (65, i, 82) (66, i, 83) (66, i, 84) (67, i, 84) (67, "OUT_C !0", 85) (68, i, 86) (69, i, 87) (69, i, 88) (70, i, 88) (70, "OUT_C !0", 89) (71, i, 90) (72, i, 90) (73, i, 91) (73, i, 92) (74, i, 92) (74, "OUT_C !1", 93) (75, i, 94) (76, i, 91) (76, i, 95) (77, i, 95) (77, "OUT_C !1", 96) (78, i, 97) (79, i, 98) (80, i, 98) (80, "OUT_C !0", 99) (81, i, 99) (82, i, 100) (83, i, 101) (84, i, 101) (84, "OUT_C !0", 102) (85, i, 102) (86, i, 103) (87, i, 104) (88, i, 104) (88, "OUT_C !0", 105) (89, i, 105) (90, i, 106) (91, i, 107) (92, i, 107) (92, "OUT_C !1", 108) (93, i, 108) (94, i, 109) (95, i, 107) (95, "OUT_C !1", 110) (96, i, 110) (97, i, 111) (97, "OUT_C !0", 112) (98, i, 113) (98, "OUT_C !0", 114) (99, i, 114) (100, i, 115) (100, "OUT_C !0", 116) (101, i, 117) (101, "OUT_C !0", 118) (102, i, 118) (103, i, 119) (103, "OUT_C !0", 120) (104, i, 115) (104, "OUT_C !0", 121) (105, i, 121) (106, i, 122) (106, "OUT_C !1", 123) (107, i, 124) (107, "OUT_C !1", 125) (108, i, 125) (109, i, 124) (109, "OUT_C !1", 126) (110, i, 125) (111, "OUT_C !0", 127) (112, i, 127) (113, "OUT_C !0", 128) (114, i, 128) (115, "OUT_C !0", 129) (116, i, 129) (117, "OUT_C !0", 130) (118, i, 130) (119, "OUT_C !0", 131) (120, i, 131) (121, i, 129) (122, "OUT_C !1", 132) (123, i, 132) (124, "OUT_C !1", 133) (125, i, 133) (126, i, 133)