des (0,1,1) (0,"S !1 !DIALT !OFF",0)